ASML光刻機,最新進展

2023-07-28 18:10:14    編輯: robot
導讀 在 SEMICON West上, 本文作者採訪了 ASML 的 Mike Lercel。在本文中,該作者結合了SPIE 高級光刻會議上的 ASML 演示材料、Mike 在 SEMICON 上的演示、...

在 SEMICON West上, 本文作者採訪了 ASML 的 Mike Lercel。在本文中,該作者結合了SPIE 高級光刻會議上的 ASML 演示材料、Mike 在 SEMICON 上的演示、與 Mike在SEMICON 上的一些討論以及 ASML 最近的財報電話會議中的一些內容。以分享了ASML光刻機的最新進展。

DUV

ASML 持續改進 DUV 系統。全新 NXT:2100i 具有 4 個新功能,可改善未來邏輯和 DRAM 的重疊和邊緣放置錯誤。

1、用於改進鏡頭和交叉匹配的畸變操縱器提供了更多的疊加校正控制。

2、經過調節的掩模版庫和新的掩模版加熱控制提高了掩模版覆蓋和吞吐量。

3、PARIS 光學傳感器改善了覆蓋效果。

4、2 種顏色的對齊也改善了疊加效果。

最終結果是機器匹配覆蓋改進至遠低於 1.3 納米(見圖 1),而交叉匹配覆蓋則略高於 1.1 納米。

圖 1. 機器匹配的覆蓋層。

0.33NA EUV

從剛剛完成的季度財務電話會議來看,ASML 目前已發貨超過 200 個 NXE:3400/3600 系統。根據作者統計,當中包括45個NXE:3400B、76個NXE:3400C 和 75個NXE:3600D,從總數看來,這個統計是有缺失的,因爲我的統計是基於 ASML 的銷售數字,然後發貨和統計銷售之間存在一些延遲。但可以預計的是,NXE:3600D 要么是/要么很快就會成爲出貨量最多的系統。

從 2014 年第一季度到 2019 年第四季度,系統吞吐量增加了 >17 倍!NXE:3400C 在客戶現場以 30mJ/cm2 劑量實現了約 140 片/小時 (wph),NXE:3600D 在客戶現場以 30mJ/cm2 劑量實現了略高於 160 wph 的產能,在 ASML 實現了 185 wph 的產能。NXE:3800E 的目標是 >220 wph!參見圖 2。

圖2、EUV系統吞吐

NXE產量不斷提高,2020年全球只有1個系統年產量超過50萬片晶圓,2021年這一數字增加到15個,2022年增加到51個,見圖3。

圖 3.EUV 系統生產力。

NXE:3800E 的目標是在 0.9nm 匹配機器覆蓋下 >220 wph,見圖 4。

圖 4. NXE:3800E 目標。

第一批 NXE:3800E 預計在第四季度發貨,見圖 5。

圖 5. NXE:3800E 發貨狀態。

EUV 的一大問題一直是系統的巨大功耗。ASML 不斷提高能源效率,將每片晶圓的能耗降低了 3 倍,見圖 6。

圖 6.EUV 能源效率。

0.33NA EUV 系統現已牢固地確立爲前沿邏輯和 DRAM 部件上最關鍵層的首選工具,並且隨着每個新節點,更多層都更改爲 EUV。

高數值孔徑 EUV

0.33NA EUV 系統的單次曝光圖案化目前達到約 30nm,隨着工藝的成熟,預計會進一步改進,但一些 EUV 多重圖案化已用於 5nm 和 3nm 邏輯工藝。較高數值孔徑的工具可提高可實現的單次曝光間距限制。

第一個 0.55NA EUV 系統 EXE:5000 將於 2024 年初發貨,並於 2025 年批量生產。EXE:5000 是一個开發系統,數量有限。狀態如圖7所示。

圖 7.EXE:5000 狀態。

2023 年晚些時候,ASML 位於 Veldhoven 的工廠將與imec 合作建立一個High NA EUV 演示實驗室,並於 2024 年初運行工具。

量產型高數值孔徑曝光工具將是 EXE:5200,預計將於 2025 年初發貨。

Hyper NA EUV

如果間距繼續縮小,即使是 0.55NA Hihg NA 曝光工具最終也需要多重圖案化,而 ASML 正在認真討論 NA 約爲 0.75NA 的“Hyper NA”工具,但具體 NA 尚未確定。一個關鍵問題是何時/是否需要這樣的工具。

結論

ASML 繼續對其產品线進行不懈的改進計劃。更快、更精確的 DUV 和 0.33 NA EUV 工具。开發即將推出的 0.55NA 高 NA EUV 工具,甚至超越高 NA 到可能的超 NA 工具。



標題:ASML光刻機,最新進展

地址:https://www.utechfun.com/post/243366.html

鄭重聲明:本文版權歸原作者所有,轉載文章僅為傳播信息之目的,不構成任何投資建議,如有侵權行為,請第一時間聯絡我們修改或刪除,多謝。

猜你喜歡