2nm制程:四強爭霸,誰是炮灰?

2024-06-02 18:11:02    編輯: robot
導讀 來源:半導體產業縱橫 距離2nm制程量產還有一年左右的時間,當下,對於台積電、三星和英特爾這三大玩家來說,都進入了試產准備期,新一輪先進制程市場爭奪战一觸即發。 經過多年的技術積累、發展和追趕,在工...

來源:半導體產業縱橫

距離2nm制程量產還有一年左右的時間,當下,對於台積電、三星和英特爾這三大玩家來說,都進入了試產准備期,新一輪先進制程市場爭奪战一觸即發。

經過多年的技術積累、發展和追趕,在工藝成熟度和良率方面,三星、英特爾與台積電的差距越來越小了,在2nm時代,台積電依然佔據優勢地位的局面可以預見,但與5nm和3nm時期相比,市場競爭恐怕會激烈得多。

 01

三大玩家的2nm技術路线

在發展2nm制程技術方面,台積電、三星和英特爾既有相同點,也有不同之處,總體來看,台積電相對穩健,英特爾相對激進,三星則處於居中位置。

首先看台積電。

該晶圓代工龍頭的2nm制程將包括N2、N2P和N2X三個版本,預計2025下半年开始量產其第一代GAAFET N2節點芯片,2nm的下一個版本N2P將在 2026年底量產。與英特爾不同,台積電的這兩個版本2nm工藝沒有使用背面供電技術,不過,整個N2系列將增加台積電新的NanoFlex功能,該功能允許芯片設計人員在同一模塊中匹配來自不同庫(高性能、低功耗、不同面積)的單元,以提高性能或降低功耗。

爲了控制成本,台積電將使用GAAFET晶體管架構,而不是傳說中的互補式場效應晶體管(CFET)。

台積電的3nm工藝已經支持一種稱爲FinFlex的功能,它也允許設計人員使用來自不同庫的單元,不過,N2依賴於GAAFET納米片晶體管,使NanoFlex提供了一些額外的控制能力,可以優化性能和功率的通道寬度。

與N3E相比,台積電預計N2在相同功率下可將性能提高10%~15%,或在相同頻率和復雜性下將功耗降低25%~30%。

N2之後將是性能增強型N2P,以及2026年的電壓增強型N2X。盡管台積電曾表示N2P將在2026年增加背面供電網絡(BSPDN),但看起來情況並非如此,N2P將使用常規供電電路,具體原因尚不清楚。

N2仍有望採用與電源相關的創新,也就是超高性能金屬絕緣體金屬(SHPMIM)電容器,這種電容器可以提高電源穩定性,SHPMIM電容器的容量密度是台積電現有超高密度金屬絕緣體金屬(SHDMIM)電容器的兩倍多。

下面看三星。

三星也將於2025年量產2nm(SF2)制程芯片,然後於2026年採用背面供電技術。與3nm工藝(SF3)相比,三星的2nm工藝性能提升12%,功率效率提升25%,面積減少5%。

相對於台積電而言,三星公布的2nm制程工藝技術細節不多,不知道量產時是否會有什么大招放出。

最後看英特爾。

2024年初,在發布其“四年內五個節點”計劃(稱爲“5N4Y”)時,英特爾介紹了其20A(2nm級)制程技術,該工藝計劃在2025年初投入生產。

據悉,英特爾的20A引入了RibbonFET GAA晶體管和PowerVia背面供電技術,而之後的升級版本18A則將改進這兩種技術。該公司是背面供電技術的積極倡導者,並在不斷改進,因此,預計英特爾也將會在2nm制程技術領域有較多創新。

英特爾將比台積電早兩年使用背面供電技術,此外,在使用GAA晶體管架構方面,也比台積電早一年半的時間。但是,是否可以將這些新技術轉化成實實在在的量產優勢,關鍵還是要看英特爾能否將PPA(性能、功耗、面積)優化到位。

 02

數字遊戲

2024年3月,三星電子通知客戶和合作夥伴,宣布將新版第二代3nm工藝改名爲2nm。三星稱,該工藝將在今年年底量產。

一位IC設計業內人士表示:“我們收到了三星電子的通知,他們正在將第二代3nm制程更名爲2nm。我們去年與三星電子代工廠籤訂的第二代3nm制程合同也將更名爲2nm,因此,我們需要在不久的將來重寫合同。”

有業內人士表示,三星第二代3nm制程減小了晶體管尺寸,很大程度上是爲了滿足營銷的需要。

台積電在IEEE國際電子元件會議(IEDM)上揭露,將繼2nm後,推出1.4nm制程,且延續將2nm正式命名爲A20的做法,1.4nm被命名爲A14,預計2027~2028年量產。

三星緊追台積電不放,宣布計劃2027年量產1.4nm制程。

英特爾對制程的命名則一改多年前的“倔強”,不再固守摩爾定律的絕對規範,而是考慮到更多的商業化拓展需求,讓制程節點聽起來更接近市場和客戶習慣。因此,該公司CEO基辛格在主持英特爾創新日台北場時重申,Intel 7已進入量產階段,Intel 4現已量產准備就緒,Intel 3也會按計劃於今年底推出。他在現場展示了以Intel 20A試產出的晶圓,預計將用於2025年推出的Arrow Lake處理器,Intel 18A也將有望在2025下半年進入量產階段。

目前,對於5nm及以下的先進制程工藝,出現了越來越多的“數字遊戲”,這也是競爭壓力下的產物,由於台積電的先進制程在業內深入人心,要想提升競爭力,獲得更多市場份額,就不得不順應市場需求和客戶習慣,才有助於拓展市場空間。

 03

2nm制程量產的挑战

2025年是2nm制程量產元年,真正的較量恐怕會出現在2026年。對於台積電、三星、英特爾,以及日本的Rapidus來說,依然需要解決各自的問題,才能將量產工作鋪开。

台積電的高成本

International Business Strategies(IBS)的分析師認爲,與3nm處理器相比,2nm芯片成本將增長約50%。

IBS估計,一個產能約爲每月50000片晶圓(WSPM)的2nm產线的成本約爲280億美元,而具有類似產能的3nm產线的成本約爲200億美元。增加的成本,很大一部分來自於EUV光刻設備數量的增加,這將大大增加每片晶圓和每個芯片的生產成本,而能夠接受如此高成本芯片的廠商,只有蘋果、AMD、英偉達和高通等少數幾家。

IBS估計,2025~2026年,使用台積電N2工藝加工單個12英寸晶圓將花費蘋果約30000美元,而基於N3工藝的晶圓成本約爲20000美元。

隨着對AI處理器需求的增加,英偉達在台積電收入中的份額會在2024年增加,該公司已經預訂了台積電晶圓代工和CoWoS封裝產能,以確保其用於AI的優質處理器的穩定供應。今年,AMD在台積電總營收中的份額有望超過10%。

正是有蘋果、英偉達、AMD等大客戶下單,台積電才會大規模投資最先進制程,否則,像2nm這樣燒錢的制程產线,是很難持續支撐下去的。但是,就目前的情況來看,台積電對2024全年的晶圓代工市場預判較爲保守,認爲之前的預估過於樂觀了,之前預估該行業年增長20%左右,現在看來,增長率可能只有10%左右。在這種情況下,雖然有大客戶的訂單,也必須控制一下成本和資本支出了。

目前,台積電正在全方位的控制成本,包括EUV設備的支出,電能的節省等。雖然在2nm制程成本方面,其它幾家廠商也會面臨成本問題,但爲了追趕台積電,三星和英特爾似乎在成本方面沒有台積電那么敏感。另外,由於台積電要在美國新建至少兩座先進制程晶圓廠,這給它帶來了很多額外的成本壓力。因此,台積電的2nm制程產线必須精打細算。

三星的良率問題

對於晶圓代工來說,良率非常重要,它直接影響生產成本和客戶認可度。

自從進入5nm制程時代以來,良率一直是三星晶圓代工業務所面對的最大問題,特別是在3nm制程節點上,三星率先引入了全新的GAA架構晶體管,與以往使用的FinFET晶體管有較大區別,也使良率問題進一步放大。

據Notebookcheck報道,三星的3nm工藝良率在50%附近徘徊,依然有一些問題需要解決。三星2023年曾表示,其3nm工藝量產後的良率已達到60%以上,不過,現在看來,當時過於樂觀了。

今年2月,據韓媒報道,三星新版3nm工藝存在重大問題,試產芯片均存在缺陷,良率爲0%。報道指出,採用3nm工藝的Exynos 2500芯片因缺陷未能通過質量測試,導致後續 Galaxy Watch 7的芯片組也無法量產。報道指出,由於Exynos 2500芯片試產失敗,三星推遲了大規模生產,目前,尚不清楚是否能夠及時解決良率問題。

爲了追趕台積電,三星的3nm制程工藝採取了比較激進的策略,主要體現在GAA晶體管架構上,台積電的3nm依然採用FinFET。2nm才會轉向GAA晶體管,激進的結果就是要在良率方面付出一些代價。

如果3nm的良率問題不能解決,2nm恐怕會出現同樣的問題。

英特爾的客戶

對於晶圓代工業新進入者,英特爾面臨的最大問題當然是客戶認可度,特別是像2nm這樣先進的制程工藝,對於在10nm以下晶圓代工市場鮮有量產經驗和出貨量的廠商來說,在與台積電和三星的競爭中,如何搶奪客戶,特別是有較大出貨量需求的客戶(2nm成本很高,若出貨量小,根本不可能盈利),是一大挑战。

Rapidus的挑战

日本Rapidus晶圓廠的2nm制程工藝源自IBM。Rapidus派遣工程師前往IBM在紐約的奧爾巴尼納米技術中心進行研發,並與IBM以外的合作夥伴合作开發2nm工藝,目標是在2020年代後期進行大規模生產。

與英特爾類似,Rapidus是晶圓代工業的新進入者,大規模生產工藝研發是從零开始的,客戶認可度是一大挑战。

假設已經投資Rapidus的豐田汽車、電裝、NTT、IBM等公司將他們需要的先進制程芯片交由Rapidus代工生產,但是,這些廠商能有多少2nm制程芯片需求?而將先進制程芯片外包給台積電、三星等晶圓代工廠的客戶,如蘋果、高通、AMD、英偉達和聯發科,才是出貨量大戶,他們能否選擇Rapidus,關鍵在於這些大客戶能夠充分了解並認可Rapidus的工藝水平,以及所創造的附加值,如果不能的話,他們很難將訂單從台積電和三星那裏轉出。

另外,僅僅在奧爾巴尼學到了IBM的2nm工藝,並不意味着可以直接將其應用於Rupidus晶圓廠的大規模生產,有很多工程問題需要解決,這不是一朝一夕的事情。

還有一個問題是Rapidus能獲得多少EUV設備,這對2nm制程芯片量產至關重要。ASML在2022年的EUV光刻設備出貨量約爲55台,2023年的產能提高到60台以上,到2025年可達到90台左右。但是,隨着制程工藝的特徵尺寸小越來越小,EUV光刻的層數會持續增加,其它幾家晶圓廠會持續爭奪EUV設備,Rapidus能得到多少呢?

 04

結語

據韓媒報道,台積電總裁魏哲家沒有出席23日在台北舉行的台積電2024年技術論壇,是因爲他前往歐洲祕密訪問ASML荷蘭總部和德國工業激光大廠TRUMPF。

爲了衝刺先進制程晶圓代工,英特爾已成爲ASML首台最新型High-NA EUV(高數值孔徑EUV光刻機)的买家。台積電高層原本表示,其2nm和A16制程節點並不需要High-NA EUV,因爲它太貴了。但據BusinessKorea報道,台積電總裁魏哲家這次祕密飛往荷蘭,是與ASML商討EUV設備事宜。

雖然魏哲家的訪歐行程是保密的,但ASML新任CEO富凱(Christophe Fouquet)和TRUMPF公司CEO卡穆勒(Nicola Leibinger-Kammüller)都在社群媒體上曝光了魏哲家到訪的消息。富凱直言,ASML向魏哲家介紹了公司的最新技術與產品,包括High-NA EUV設備將如何實現未來半導體的微制程工藝技術。

這可以從一個側面反映出台積電、英特爾和三星爭奪新一代光刻設備的競爭在升溫,2nm及以下先進制程的競賽已經开打。



標題:2nm制程:四強爭霸,誰是炮灰?

地址:https://www.utechfun.com/post/378645.html

鄭重聲明:本文版權歸原作者所有,轉載文章僅為傳播信息之目的,不構成任何投資建議,如有侵權行為,請第一時間聯絡我們修改或刪除,多謝。

猜你喜歡